女人自慰AV免费观看内涵网,日韩国产剧情在线观看网址,神马电影网特片网,最新一级电影欧美,在线观看亚洲欧美日韩,黄色视频在线播放免费观看,ABO涨奶期羡澄,第一导航fulione,美女主播操b

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

帶大家一起體驗一下Vivado的ECO流程

FPGA之家 ? 來源:賽靈思中文社區論壇 ? 作者:Hong Han ? 2020-11-29 11:04 ? 次閱讀

有時我們需要在設計網表的基礎上微調一下邏輯,這樣可以無需修改代碼,也無需重新做綜合,在設計調試中可以節省時間同時維持其他邏輯無任何改動。

這里帶大家一起體驗一下Vivado 的ECO流程,以vivado自帶的Example Design為例, 直接用TCL命令修改網表,在正常的寄存器路徑之間加一級LUT。

1. 打開Vivado 界面

2. 打開Example Design "Wavegen":
File -> Project -> Open Example
選中Wavegen(HDL), 器件選擇xcku035

3. 點擊左側Flow Navigator 窗口 Run Implementation 按鈕, 完成綜合實現.

4. 打開Implemented Design (點擊左側Flow Navigator 窗口 Open Implemented Design 按鈕)

5. 選一條兩個寄存器之間的路徑
運行以下命令,選中打印出的路徑,雙擊可以查看時序報告,F4 鍵可以打開這條路徑的原理圖

%report_timing -from [get_cells clkx_spd_i0/meta_harden_bus_new_i0/signal_meta_reg] -to [get_cells clkx_spd_i0/meta_harden_bus_new_i0/signal_dst_reg] -delay_type max -name test1

可以看到Data Path的布線延遲是0.504ns

路徑的原理圖

6. 把目的寄存器的D端從net上斷下來

%disconnect_net -net clkx_spd_i0/meta_harden_bus_new_i0/signal_meta_reg_n_0 -objects {clkx_spd_i0/meta_harden_bus_new_i0/signal_dst_reg/D}

在這里獲取操作對象(net, Pin) 的方法: 在原理圖中選中對象,然后查看走下角Property 窗口中的NAME 屬性

Pin被從Net上斷開后,會在原理圖上顯示n/c

7. 創建一個LUT1,并設置LUT的INIT property

%create_cell -reference LUT1clkx_spd_i0/meta_harden_bus_new_i0/my_lut1 %set_property INIT 2'h1 [get_cells clkx_spd_i0/meta_harden_bus_new_i0/my_lut1]

可以看到這個新創建的LUT1所有端口(Pin)都是懸空的. 接下來的步驟要將這些pin連接到合適的net上.

8. 把LUT1的輸入端口連接到之前斷開的net上.

%connect_net -net clkx_spd_i0/meta_harden_bus_new_i0/signal_meta_reg_n_0 -objects {clkx_spd_i0/meta_harden_bus_new_i0/my_lut1/I0}

9. 創建一個新的net用來連接LUT1的輸出pin和之前斷下來的寄存器D pin

%create_net clkx_spd_i0/meta_harden_bus_new_i0/my_net

10. 連接LUT1的輸出pin和之前斷下來的寄存器D pin 到新創建的net上

%connect_net -net clkx_spd_i0/meta_harden_bus_new_i0/my_net -objects {clkx_spd_i0/meta_harden_bus_new_i0/my_lut1/O clkx_spd_i0/meta_harden_bus_new_i0/signal_dst_reg/D}

11. 在Netlist窗口選窗口選中新建的LUT1,將其拖曳到Device中空著的slice LUT bel中

對應的命令:

place_cell clkx_spd_i0/meta_harden_bus_new_i0/my_lut1 SLICE_X52Y83/B6LUT

12. 對新的LUT1兩端的net進行布線

%route_design -nets [get_nets -of [get_pins clkx_spd_i0/meta_harden_bus_new_i0/my_lut1/*]]

13.檢查布線結果確保沒有布線錯誤

%report_route_status

14.用步驟5的命令重新報一下時序

15. 生成bit文件

%write_bitstream test.bit

責任編輯:lq

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • ECO
    ECO
    +關注

    關注

    0

    文章

    52

    瀏覽量

    15116
  • 腳本
    +關注

    關注

    1

    文章

    397

    瀏覽量

    28341
  • Vivado
    +關注

    關注

    19

    文章

    828

    瀏覽量

    68214

原文標題:【干貨分享】用ECO腳本的方式在網表中插入LUT1

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦
    熱點推薦

    開源啦!!!基于鴻蒙ArkTS封裝的圖表組件《McCharts》,大家快來一起共創

    鴻蒙的社區或者鴻蒙開發群里面,其中有位開發者問我可以開源出來不,大家一起玩玩,我心想也不是不可以哦,大家一起開發,這樣可能會更快,適配性更
    發表于 03-15 15:21

    屏蔽網線可以和電線一起

    屏蔽網線與電線不建議一起走線,原因主要有以下幾點: 電磁干擾:電源線在傳輸電能時會產生電磁場,而屏蔽網線中的導線可能會受到這個電磁場的干擾。這種干擾可能導致屏蔽網線的信號質量下降、速度變慢,甚至無法
    的頭像 發表于 03-07 10:47 ?564次閱讀

    電路圖設計:需要問一下,需要哪些零件可以組合在一起組成個音箱?

    目前手頭上只有這個音響。現在需要問一下,需要哪些零件可以組合在一起組成個音箱?嗯,設備呃,包括哪些是多大的電容電阻和三極管,最好能詳細到多大的二極管,三極管電容電阻
    發表于 01-24 13:05

    AN-166:與Linduino一起飛行中更新

    電子發燒友網站提供《AN-166:與Linduino一起飛行中更新.pdf》資料免費下載
    發表于 01-12 10:09 ?0次下載
    AN-166:與Linduino<b class='flag-5'>一起</b>飛行中更新

    “碰一下”支付背后的4G技術

    不知道你是否有留意,近期,在線下支付場景中,多了個支付寶“碰一下”支付的設備,只需要“解鎖手機—碰一下—確認”即可完成支付,對比打開付款碼支付,步驟確實更加簡潔。
    的頭像 發表于 01-03 16:27 ?1232次閱讀

    和Dr Peter一起學KiCad 4.8:設計規則檢查(DRC)

    和Dr Peter一起學KiCad 4.8:設計規則檢查(DRC)
    的頭像 發表于 12-25 14:55 ?1276次閱讀
    和Dr Peter<b class='flag-5'>一起</b>學KiCad 4.8:設計規則檢查(DRC)

    采用THS4503驅動ADS1675,一起使用時ADC發燙的原因?

    參考設計采用THS4503驅動ADS1675,一起使用時,ADC發燙,但是能正常工作,去掉THS4503后,ADC不怎么燙,想問一下,是THS4503輸出電流過大造成的,還是什么原因?目前我前面的放大電路是單端輸出, 是否可以采用其他的驅動電路,自己用運放搭
    發表于 12-24 07:02

    什么是YOLO?RK3568+YOLOv5是如何實現物體識別的?一起來了解一下

    、產品簡介TL3568-PlusTEB人工智能實驗箱國產高性能處理器64位4核低功耗2.0GHz超高主頻1T超高算力NPU兼容鴻蒙等國產操作系統二、實驗目的1、了解YOLOv5模型的用途及流程;2
    的頭像 發表于 12-19 19:04 ?839次閱讀
    什么是YOLO?RK3568+YOLOv5是如何實現物體識別的?<b class='flag-5'>一起</b>來了解<b class='flag-5'>一下</b>!

    Vivado之實現布局布線流程介紹

    、前言 本文將介紹Vivado進行綜合,以及布局布線的內部流程,熟悉該流程后結合Settings中對應的配置選項,對于時序收斂調試將更具有針對性。 二、Implementation(
    的頭像 發表于 12-06 09:08 ?1599次閱讀
    <b class='flag-5'>Vivado</b>之實現布局布線<b class='flag-5'>流程</b>介紹

    DAC81416將多通道輸出口連一起,輸出不同電壓,會發生什么?

    大家好,如果將DAC的多個輸出通道連在一起,輸出不同電壓,會發生什么?
    發表于 11-20 07:36

    有鉛錫膏跟無鉛錫膏可以一起用嗎

    的錫膏丟掉就很浪費,如果下次貼片用的是另款錫膏,這樣的話這兩種錫膏能一起攪拌來用嗎?下面深圳佳金源錫膏廠家為大家講解一下:首先,我們了解
    的頭像 發表于 10-14 15:36 ?734次閱讀
    有鉛錫膏跟無鉛錫膏可以<b class='flag-5'>一起</b>用嗎

    增量式編碼器3大特點,工作模式,精度,輸出脈沖信號 一起了解一下

    增量式編碼器3大特點,工作模式,精度,輸出脈沖信號...一起了解一下嗎?在現代工業自動化和控制系統中,增量式編碼器扮演著至關重要的角色。它可以將機械運動轉化為電信號,為各類設備提供精確的位置和速度
    的頭像 發表于 08-15 14:20 ?1206次閱讀
    增量式編碼器3大特點,工作模式,精度,輸出脈沖信號 <b class='flag-5'>一起</b>了解<b class='flag-5'>一下</b>嗎

    絕對值編碼器原理,特性,應用...一起了解一下

    絕對值編碼器特性:絕對值編碼器的最大特點就是其輸出的位置值是絕對的,不受電源中斷或系統重啟的影響。這意味著旦確定了位置,無論何時重新上電或系統重新啟動,編碼器都能準確地報告當前位置,無需進行回零
    的頭像 發表于 07-26 10:00 ?1530次閱讀
    絕對值編碼器原理,特性,應用...<b class='flag-5'>一起</b>了解<b class='flag-5'>一下</b>唄

    請問idf.py build是否可以配置多個進程一起編譯?

    請教一下 idf.py build 如何配置多個進程一起編譯? 就像make -j4 謝謝!
    發表于 06-21 06:41

    數字地跟模擬地能不能接一起,數字地跟模擬地的區別

    數字地和模擬地在些情況可以接在一起,但在另外些情況需要分開處理。這主要取決于具體的應用場景和設計要求。
    的頭像 發表于 06-10 16:31 ?4389次閱讀