女人自慰AV免费观看内涵网,日韩国产剧情在线观看网址,神马电影网特片网,最新一级电影欧美,在线观看亚洲欧美日韩,黄色视频在线播放免费观看,ABO涨奶期羡澄,第一导航fulione,美女主播操b

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Testbench編寫文件的讀寫操作

OpenFPGA ? 來源:OpenFPGA ? 作者:OpenFPGA ? 2020-11-20 11:33 ? 次閱讀

Testbench編寫指南(2)文件的讀寫操作

讀取txt文件數據

將數據寫入txt文件

第2篇的題材是文件的讀寫控制,仿真時經常需要從文件中讀取測試激勵,還要將仿真結果存取在文件中供其它程序讀取調用。

讀取txt文件數據

示例代碼如下:

integer i; //數組坐標 reg [9:0] stimulus[1:data_num]; //數組形式存儲讀出的數據 initial begin $readmemb("SinIn.txt", stimulus); //將txt文件中的數據存儲在數組中 i = 0; repeat(data_num) begin //重復讀取數組中的數據 i = i + 1; din = stimulus[i]; #clk_period; //每個時鐘讀取一次 end end

用“數組”來表述Verilog HDL中的定義并不準確,但對大多數人來說應該更好理解。可以將stimulus視作一個存儲器,[9:0]定義了數據的位寬,[1:data_num]定義了存儲器的深度。stimulus的定義應該與txt文件中的數據相匹配。txt文件中每行存儲一個數據,則上述定義對應的是txt中存儲了data_num個數據,每個數據的最大位寬為10bit。
??讀取二進制格式的文件是用系統任務readmemb;讀取十六進制格式文件使用readmemb;讀取十六進制格式文件使用readmemh。其命令為$readmemb(“filename”, mem_name),將filename中的內容讀取到mem_name中。
??注意filename文件路徑中應該用反斜杠“/”,與windows系統中的文件路徑使用的“”不同。如果不指定路徑,向上面程序一樣直接寫文件名字,那么該文件必須和testbench文件在同一路徑下。
??repeat(n) begin … end中的內容應該根據設計的需要編寫。

將數據寫入txt文件

示例代碼如下:

integer file_out; initial begin file_out = $fopen("mixer_out.txt"); if (!file_out) begin $display("can't open file"); $finish; end end wire signed [19:0] dout_s = dout; wire rst_write = clk & rst_n; //復位期間不應寫入數據 always @ (posedge rst_write) $fdisplay(file_out, "%d", dout_s);

寫入文件需要先用$fopen系統任務打開文件,這個系統任務在打開文件的同時會清空文件,并返回一個句柄,如果句柄為0則表示打開文件失敗。
??如果原來不存在該文件,則會自動創建該文件。
??打開文件之后便可以用得到的句柄和KaTeX parse error: Expected 'EOF', got '&' at position 55: …printf函數的用法很像。

上面的程…fdisplay`,都會在數據后插入一個換行符。

責任編輯:lq

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 存儲器
    +關注

    關注

    38

    文章

    7637

    瀏覽量

    166454
  • 函數
    +關注

    關注

    3

    文章

    4371

    瀏覽量

    64229
  • 數組
    +關注

    關注

    1

    文章

    419

    瀏覽量

    26376

原文標題:Testbench編寫指南(2)文件的讀寫操作

文章出處:【微信號:Open_FPGA,微信公眾號:OpenFPGA】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦
    熱點推薦

    testbench中如何使用阻塞賦值和非阻塞賦值

    本文詳細闡述了在一個testbench中,應該如何使用阻塞賦值與非阻塞賦值。首先說結論,建議在testbench中,對時鐘信號(包括分頻時鐘)使用阻塞賦值,對其他同步信號使用非阻塞賦值。
    的頭像 發表于 04-15 09:34 ?545次閱讀
    在<b class='flag-5'>testbench</b>中如何使用阻塞賦值和非阻塞賦值

    【紫光同創盤古100Pro+開發板,MES2L676-100HP教程】盤古676系列——Modelsim的使用和do文件編寫

    :??????????????Testbench文件編寫 Testbench文件其實就是模擬信號的生成,給我們所設計的模塊提供輸入,以便測
    發表于 02-25 18:36

    hyper-v 文件,Hyper-V文件管理:高效操作指南

    在日常辦公中,我們常常需要對大量文件或數據進行重復性操作,比如批量修改文件名、批量更新數據等。這些任務不僅耗時費力,還容易出錯。幸運的是,批量管理工具的出現為我們提供了一種高效的解決方案。今天就為
    的頭像 發表于 02-06 10:27 ?566次閱讀
    hyper-v <b class='flag-5'>文件</b>,Hyper-V<b class='flag-5'>文件</b>管理:高效<b class='flag-5'>操作</b>指南

    ADS131A02開啟Hamming code下,該如何編寫讀寫命令函數代碼?

    。 問題:這是為什么?在開啟Hamming code下,該如何編寫讀寫命令函數代碼?(datasheet Page 39和40說的不夠詳細)
    發表于 12-10 08:35

    EEPROM輕量級的簡易類文件的數據讀寫庫:EEPROMFS

    雖然 EEPROM 相對 Flash 讀寫速度更慢,但 EEPROM 一些獨有特性是 Flash 無法實現的,比如字節讀寫操作。 所以,EEPROM至今依然應用在很多電子設備上,而且市場還不
    的頭像 發表于 11-15 16:31 ?1057次閱讀
    EEPROM輕量級的簡易類<b class='flag-5'>文件</b>的數據<b class='flag-5'>讀寫</b>庫:EEPROMFS

    編寫高效Testbench的指南和示例

    Testbench是驗證HDL設計的主要手段,本文提供了布局和構建高效Testbench的指南以及示例。另外,本文還提供了一種示例,可以為任何設計開發自檢Testbench
    的頭像 發表于 10-29 16:14 ?1496次閱讀
    <b class='flag-5'>編寫</b>高效<b class='flag-5'>Testbench</b>的指南和示例

    閃存隨機讀寫與連續讀寫哪個重要

    快速響應的應用中,如數據庫操作、服務器處理請求等,隨機讀寫速度至關重要。 多任務處理能力 : 隨機讀寫能力強的閃存能夠更有效地處理多個并發任務,因為它們可以更快地訪問和修改存儲在不同位置的數據。 連續
    的頭像 發表于 10-12 11:44 ?960次閱讀

    請問TINA仿真軟件如何編寫波形文件

    TINA仿真軟件如何編寫波形文件??
    發表于 09-05 07:23

    請問TINA9如何編寫波形文件

    TINA9如何編寫波形文件?有相關教程嗎?
    發表于 08-21 08:16

    eeprom存儲原理、存儲結構及讀寫操作

    操作。EEPROM具有數據保存時間長、可重復擦寫、讀寫速度快等優點,廣泛應用于各種電子設備中。本文將詳細介紹EEPROM的存儲原理、存儲結構、讀寫操作、編程接口以及應用場景。 一、EE
    的頭像 發表于 08-05 17:03 ?5343次閱讀

    Verilog testbench問題求助

    這是我在HDLbits網站上做到的一道題,是testbench,請問這個代碼為什么input都是低電平0?我設置的時鐘就是周期10ns,占空比50%的時鐘信號啊?怎么會出現這種情況......
    發表于 07-21 11:14

    如何實現Python復制文件操作

    Python 中有許多“開蓋即食”的模塊(比如 os,subprocess 和 shutil)以支持文件 I/O 操作。在這篇文章中,你將會看到一些用 Python 實現文件復制的特殊方法。下面我們開始學習這九種不同的方法來實現
    的頭像 發表于 07-18 14:53 ?699次閱讀

    讀寫分離怎么保證數據同步

    讀寫分離是一種常見的數據庫架構設計,用于提高數據庫的并發處理能力。在讀寫分離架構中,數據庫的讀操作和寫操作被分離到不同的服務器上,從而實現負載均衡和性能優化。然而,
    的頭像 發表于 07-12 09:49 ?1525次閱讀

    讀寫分離解決什么問題

    讀寫分離是一種數據庫架構設計策略,主要解決數據庫在高并發場景下的讀寫性能瓶頸問題。在這種架構中,數據庫的讀操作和寫操作被分離到不同的服務器上,以提高數據庫的并發處理能力和穩定性。 一、
    的頭像 發表于 07-12 09:47 ?770次閱讀

    鴻蒙開發文件管理:【@ohos.fileio (文件管理)】

    該模塊提供文件存儲管理能力,包括文件基本管理、文件目錄管理、文件信息統計、文件流式讀寫等常用功能
    的頭像 發表于 06-12 18:12 ?1774次閱讀
    鴻蒙開發<b class='flag-5'>文件</b>管理:【@ohos.fileio (<b class='flag-5'>文件</b>管理)】