女人自慰AV免费观看内涵网,日韩国产剧情在线观看网址,神马电影网特片网,最新一级电影欧美,在线观看亚洲欧美日韩,黄色视频在线播放免费观看,ABO涨奶期羡澄,第一导航fulione,美女主播操b

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Modelsim uvm庫編譯及執行

454398 ? 來源:AI加速 ? 作者:AI加速 ? 2020-12-01 11:25 ? 次閱讀

01. 下載modelsim軟件

下載modelsim,這里用的是modelsim10.4版本。鏈接:https://pan.baidu.com/s/1qSZ_X9UhrII3E2N6-yOsuw

提取碼:6aof

完成下載和安裝,在安裝文件夾中可以看到uvm-1.1d,這是我們使用的uvm版本。在uvm-1.1d/win64下有uvm_dpi.dll文件,這是已經編譯過的uvm庫。

02. 編寫文件

以“hello,world”為例,編寫uvm源文件如下:

`timescale 1ns/1ps
include
"uvm_macros.svh"
import uvm_pkg::*;
module hello;
initial begin
`uvm_info("info", "Hello world!!!", UVM_LOW)
end
endmodule

然后編寫一個windows下的執行程序:run.bat。

其中只有一句話:

這個就是運行modelsim腳本文件sim.do。

Sim.do文件內容如下:

第一句話是設置uvm環境變量,指定uvm的dpi位置。

第二句話是創建work工作目錄。

第三句話是編譯源文件,并且通過-L指定幾個編譯庫。

第三句是執行仿真,調用uvm庫uvm_dpi。

第四句話是運行多長時間:100ns。

03. 執行

雙擊run.bat,然后會調用modelsim進行編譯和仿真。


編輯:hfy

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • ModelSim
    +關注

    關注

    5

    文章

    174

    瀏覽量

    47920
  • UVM
    UVM
    +關注

    關注

    0

    文章

    182

    瀏覽量

    19430
收藏 人收藏

    評論

    相關推薦
    熱點推薦

    RVCT編譯器是否比GNU的編譯器的代碼執行速度更快?

    ,是rvct3.1還是rvct4.0?如何把rvct編譯器集成到ide中? 2、RVCT編譯器是否比GNU的編譯器的代碼執行速度更快?
    發表于 05-08 07:49

    STM32CubeIDE編譯設置是否有像keil一樣有編譯執行Bat腳本的功能和設置?

    STM32CubeIDE編譯設置問題,是否有像keil一樣有編譯執行Bat腳本的功能和設置?或者有相關的腳本和插件?
    發表于 03-14 15:59

    【紫光同創盤古100Pro+開發板,MES2L676-100HP教程】盤古676系列——Modelsim的使用和do文件編寫

    ./src/test.v第一個work表示文件夾的名稱 、第二個work表示modelsim中library的的名稱、第三個就是要編譯的文件的路徑。 vsim:表示啟動仿真。 add wave:表示
    發表于 02-25 18:36

    Triton編譯器與GPU編程的結合應用

    Triton編譯器簡介 Triton編譯器是一種針對并行計算優化的編譯器,它能夠自動將高級語言代碼轉換為針對特定硬件優化的低級代碼。Triton編譯器的核心優勢在于其能夠識別并行模式,
    的頭像 發表于 12-25 09:13 ?734次閱讀

    Triton編譯器如何提升編程效率

    在現代軟件開發中,編譯器扮演著至關重要的角色。它們不僅將高級語言代碼轉換為機器可執行的代碼,還通過各種優化技術提升程序的性能。Triton 編譯器作為一種先進的編譯器,通過多種方式提升
    的頭像 發表于 12-25 09:12 ?676次閱讀

    使用modelsim時的問題分析

    仿真對于FPGA設計來說至關重要,我們經常使用modelsim來進行功能仿真或者時序仿真,這樣就需要將modelsim和設計軟件(quartus ii)聯系起來,下面是設計者在使用modelsim時可能會遇到的問題。
    的頭像 發表于 10-24 18:15 ?1498次閱讀
    使用<b class='flag-5'>modelsim</b>時的問題分析

    ida反編譯出來代碼能直接用嗎

    一些有助于人類閱讀但不影響機器執行的元信息,如注釋、文檔字符串以及某些編譯時優化的細節。因此,反編譯出來的代碼可能無法完全還原原始代碼的意圖和結構。 二、依賴性問題 即使反編譯出來的代
    的頭像 發表于 09-02 10:55 ?1306次閱讀

    linux開發板如何編譯curl

    在Linux開發板上編譯 curl 通常涉及到幾個步驟,包括準備開發環境、下載源代碼、配置編譯選項以及執行編譯和安裝過程。以下是一個基本的
    的頭像 發表于 08-30 15:33 ?1230次閱讀

    linux驅動程序的編譯方法有哪兩種

    Collection)或其他C/C++編譯器來編譯源代碼文件。這種方法較為原始,需要開發者手動指定編譯器選項、包含路徑、文件等。然而,在Linux驅動開發中,由于驅動需要與內核緊密
    的頭像 發表于 08-30 14:39 ?1366次閱讀

    OpenHarmony標準系統C++公共基礎類案例:HelloWorld

    1、程序簡介該程序是基于凌蒙派OpenHarmony-v3.2.1標準系統C++公共基礎類的簡單案例:HelloWorld。主要講解C++公共基礎類案例如何搭建和編譯。2、程序解析2.1、創建
    的頭像 發表于 08-13 08:23 ?776次閱讀
    OpenHarmony標準系統C++公共基礎類<b class='flag-5'>庫</b>案例:HelloWorld

    AI編譯器技術剖析

    隨著人工智能技術的飛速發展,AI編譯器作為一種新興的編譯技術逐漸進入人們的視野。AI編譯器不僅具備傳統編譯器的功能,如將高級語言編寫的源代碼轉換為機器可
    的頭像 發表于 07-17 18:28 ?2415次閱讀

    ElfBoard技術貼|如何將libwebsockets編譯為x86架構

    和高效。通過在主機環境中編譯運用x86架構下的libwebsockets,可以充分利用主機卓越的計算性能與豐富的調試資源,顯著提升開發及調試工作的效率與便捷性。
    的頭像 發表于 07-10 09:38 ?1545次閱讀
    ElfBoard技術貼|如何將libwebsockets<b class='flag-5'>庫</b><b class='flag-5'>編譯</b>為x86架構

    如何在ModelSim中添加Xilinx仿真

    文件夾: 8、右鍵打開modelsim目錄下的modelsim.ini文件,先將其“只讀”屬性去掉。然后用記事本打開。在[Library]下面添加如下代碼,即之前編譯好的Xilinx
    發表于 07-03 18:16

    使用idf.py命令方式編譯,怎樣才能編譯arduino的,并且在項目中可調用?

    ESP-IDF添加arduino作為component的方式創建工程,sketch.cpp中的arduino代碼經測試可以正確執行。 工程中需要使用arduno的simpleFOC,并且
    發表于 06-13 06:56

    在examples工程執行idf.py build時候,怎么執行外部工程的makefile把它也編譯進去?

    在idf examples下的工程添加一個外部的工程進去 ,外部工程文件里已經有makefile寫好編譯規則,在examples工程執行idf.py build時候怎么執行外部工程的makefile 把它也
    發表于 06-06 06:37