Report QoR Assessment (RQA) 用于詳述您的設計 QoR 目標實現的可能性。如果此命令返回的結果與您的期望不符,那么本篇博文包含了有關您可采取的后續行動的附加信息。本篇博文不僅適合首次使用這些命令的新用戶,對于有經驗的用戶應該同樣很實用。
什么是 QoR 評估報告 ?
(Report QoR Assessment)
Report QoR Assessment (RQA) 詳述了您為了實現自己的設計 QoR 目標而應采用的方法。它通過分析方法論和設計的特性,為您提供如下詳細信息:
- 按 1 到 5 評分,分值對應滿足設計 QoR 目標的可能性
- 您是否需要更正影響 QoR 的方法論問題
- 您是否應使用 QoR 建議報告 (Report QoR Suggestions) 來增強設計
- 流程指南,提供有關利用諸如 ML 策略或增量編譯等工具功能的適當時機的建議
它是一條基于文本的命令,可于綜合后在 Tcl 控制臺 (Tcl console) 中或腳本里,在實現流程的任意階段運行。
評估得分
評估得分用于預測設計滿足其 QoR 目標的可能性高低。它可在實現的任意階段生成,但鑒于其前瞻性的本質,它適合在設計完全完成布線之前使用,并且在執行 opt_design 后生成的評分值最高。
評估得分細分為 5 個等級:
下表詳列了 41 項設計的得分準確性:
通過將 opt_design 得分與 route_design 得分進行比對,即可看到:
- 59% 的設計預測準確
- 98% 的設計預測偏差在 +/- 1 之間
這樣的準確率使我們得以指導用戶在實現流程中是應繼續運行后續步驟,還是使用 Report QoR Suggestions 等工具來改進設計并提升滿足時序的可能性,亦或是執行其它操作。
執行 place_design 后,評估得分準確率更高:
- 76% 的設計預測準確
- 98% 的設計預測偏差在 +/- 1 之間
如您所見,如需進一步提升準確率,可運行額外的 place_design 步驟,但應注意的是,在此階段運行該命令的作用有限。
評估得分可用于判定您應致力于改進網表還是應運行實現工具。
下表概括了常見后續操作:
QoR 評估得分可使用 Report QoR Suggestions (RQS) 來加以改善,但這并不適用于所有設計。為了對得分 2(或低于 3)的設計進行改進,可能需要大量工作,例如,對 HLS 模塊進行最優化、HDL 重新編碼、變更 IP 配置等。
如果我們僅關注實現工具流程,那么根據 RQA 得分應用自動 QoR 建議對于大部分設計都有效。運行 Report QoR Suggestions 后,對 RQA 得分的影響如下圖所示。
設計得分改善與否取決于建議的類型、建議的數量以及受影響的路徑的數量。
雖然并非所有設計的改善效果都足以使得分提升,但都能改善其設計性能特性(如,WNS 或擁塞),因此毋庸置疑,它朝著正確的方向邁出了一步。基于時鐘和擁塞的建議所實現的改進效果最為明顯。
方法論報告
改進設計時,如果 QoR 不升反降,那么您勢必將面臨如下選擇。是繼續修復?還是重新尋找新的解決方案?
這里有一個好辦法 - 解決方法論問題即可簡化這一任務。
如需了解這一觀點的更多詳情,請請進入賽靈思中文論壇(閱解決方法論問題以簡化時序收斂一文。
與 RQA 合并的方法論報告 (Report Methodology) 是一個精簡版本。RQA 報告僅詳列了影響 QoR 和工具變化的不合規的方法論檢查。要獲取完整報告,請運行 report_methodology 命令。
將 QoR 評估報告與 QoR 建議搭配使用
QoR 評估得分是通過觀察多項底層級設計指標并形成總體設計得分的方法來生成的。即使使用自動建議,如需提升設計得分,最好還是查看設計中的問題詳情,了解如何通過每次迭代來改進結果。
詳情 (Details) 表細分為多個類別,這些類別與 Report QoR Suggestions (RQS) 命令的類別相同。將設計問題綜述集中于一處是非常有效的。此外,還有一個狀態列用于顯示需要復查的領域以及應滿足的理想閾值。
下圖顯示了 QoR 評估詳情表示例:
對于超出閾值的任何項,都會在其旁邊添加 REVIEW 標記。閾值并非硬性限制,但可作為指導。這些閾值可幫助您洞悉設計中出現 QoR 下降的時機。如果只有某一個項略超閾值,那么您可以預判它對自己的設計影響有限。但如果有許多因子都略超閾值,或者如果某一個問題顯著超出閾值,那么您幾乎可以肯定設計中將出現問題。
QoR 評估詳情表還可提供實用概覽,以便您在使用 QoR 建議改進設計之后檢驗資源變更情況。鑒于該表極為詳盡,因此非常便于與先前版本進行并排對比。
在 QoR 建議報告中,您將可以看到,各項建議根據對于所涉 RQA 得分的影響,按從高到低排列。通過將該表與建議進行比較,您即可看到各工具嘗試從哪些方面來對設計進行改進。
流程指南
流程指南由 RQA 提供,其中詳述了用戶應采取的后續行動。它不僅十分便于新用戶上手,對于經驗豐富的 FPGA 設計師也十分實用。
通常,它適合用于識別:
- 尚未被解決的方法論違例
- ML 策略或增量編譯,因為用戶不熟悉這些流程而可能將其忽略
- 識別何時應使用 report_qor_suggestions (RQS)
流程指南在“總體評估匯總 (Overall Assessment Summary)”表中提供。以下是報告示例:
流程指南將判定設計是否需要進一步執行方法論修復、是否需要應用關鍵的實現建議,或者是否已經準備好執行 ML 策略或增量編譯實現流程。設計的 QoR 指標中并沒有任何一項屬于硬性要求或屬于被禁止項,但如果不符合標準,則很有可能無法滿足期望目標。
要使設計符合 ML 策略要求,必須滿足以下條件:
- 實現已完成且其運行經歷了下列階段:opt_design、place_design、phys_opt_design 和 route_design
- 設計運行時所采用的所有Directive 均設置為“Default”或“Explore”。
- 已完成關鍵設計修改。如果設計不符合 ML 策略要求并且上述條件已得到滿足,那么您應該運行 RQS 來找出這些設計修改。
- 受支持的系列為 UltraScale 和 UltraScale+
要使設計符合增量編譯要求,設計應滿足下列條件:
- 在時序收斂的合理范圍內。WNS > -0.500 ns
- RQA 得分為 4 或 5
- 包含一些適合增量編譯的 RQS 建議
受支持的系列為 UltraScale 和UltraScale+
注釋:有部分關鍵路徑無法通過增量流程來解決,例如,DSP/BRAM 中的固定級聯路徑。
下一個建議的流程階段會查看所有信息并判斷最適合用戶采取的行動方案。當設計符合增量和 ML 策略時,工具將為您提供最佳選擇建議。
總結
在本篇博文中,我們向您展示了如何使用 Report QoR Assessment 來明確自己的設計滿足時序的可能性以及哪些領域需要改進。
我們演示了“詳情 (Details)”表提供的詳盡且實用的設計概述,最后還演示了如何使用“流程指南 (Flow Guidance)”功能來充分利用 Vivado 的工具流程。
編輯:hfy
-
FPGA
+關注
關注
1643文章
21952瀏覽量
613809 -
命令
+關注
關注
5文章
726瀏覽量
22661 -
ML
+關注
關注
0文章
150瀏覽量
34963
發布評論請先 登錄
CYUSB3017是否可以在系統運行時向SX3發送命令,然后SX3將這些命令轉換為I2C命令并將其發送到FPGA?
Linux ip命令常用操作
關于cypress 3014輸出rgb24圖像
常用linux命令
Vivado中DDRX控制器(mig)ip核配置中關于命令序號選擇和地址映射說明

ADS1299-4用FPGA驅動,FPGA控制START拉高后,發送RDATAC命令DRDY始終是高電平,為什么?
SD的命令和響應

嵌入式學習-常見的shell命令之其他命令
常見的shell命令之其他命令
SDRAM中的active命令介紹

ZWS云平臺應用(6)-設備命令

評論