女人自慰AV免费观看内涵网,日韩国产剧情在线观看网址,神马电影网特片网,最新一级电影欧美,在线观看亚洲欧美日韩,黄色视频在线播放免费观看,ABO涨奶期羡澄,第一导航fulione,美女主播操b

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

MAX.II的UFM模塊使用實例

工程師 ? 來源:網絡整理 ? 作者:h1654155205.5246 ? 2019-03-06 18:20 ? 次閱讀

查看MAX II器件的Chip Planner:

其左下角這塊黑色區域是用戶不可用資源區,而在這片不可用區域里有一塊綠色的方塊是可用的。這塊不可用的黑色區域叫做CFM block(配置Flash存儲區),而那個綠色方塊叫做UFM(用戶可用的Flash存儲區)。對于后者是我們今天討論的重點,先看以下官方對此存儲區作用的描述:

MAX II devices feature a single UFM block, which can be used like a serial EEPROM for storing non-volatile information up to 8,192 bits. The UFM block connects to the logic array through the MultiTrack interconnect,allowing any LE to interface to the UFM block. Figure 2–15 shows the UFM block and interface signals. The logic array is used to create customer interface or protocol logic to interface the UFM block data outside of the device. The UFM block offers the following features:

■ Non-volatile storage up to 16-bit wide and 8,192 total bits

■ Two sectors for partitioned sector erase

■ Built-in internal oscillator that optionally drives logic array

■ Program, erase, and busy signals

■ Auto-increment addressing

■ Serial interface to logic array with programmable interface

MAX.II的UFM模塊使用實例

也就是說,MAX II其實是內嵌了一塊8Kbit的Flash。這個Flash原則上是不占用MAX II的其它可用邏輯資源的,不過這有個大前提:用戶讀寫這塊存儲區使用altera本身的串行接口(遵循特定的通信協議)。但是這個協議也太繁瑣了(個人感覺),因此,對于這塊存儲區讀寫接口altera提供了三種通用的接口供用戶選擇。

I2C

■ SPI

■ Parallel

■ None (Altera Serial Interface)

最后一種就是不需要占用器件額外邏輯資源的接口,上面三種是需要消耗器件邏輯資源的接口。筆者添加了一個并行接口做測試,占用了EMP240內部86個LEs,對于資源比較緊張的應用還是很劃不來的。

更多詳細的關于UFM的信息請大家參考altera提供的MAX II datasheet。下面介紹一個使用并行接口讀寫UFM的實例,以及功能仿真

新建一個工程,名為ufmtest,頂層模塊ufmtest.v,代碼如下:

module ufmtest(

databus,addr,

nerase,nread,nwrite,

data_valid,nbusy

);

inout[15:0] databus; //Flash數據總線

input[8:0] addr; //Flash地址總線

input nerase; //擦除Flash某一扇區信號

input nread; //讀Flash信號

input nwrite; //寫Flash信號

output data_valid; //Flash數據輸出有效信號

output nbusy; //Flash忙信號

assign databus = nwrite ? dataout:16‘hzzzz; //寫信號有效時,Flash數據總線作為輸入

assign datain = databus; //寫入Flash數據總線連接

wire[15:0] datain; //Flash寫入數據

wire[15:0] dataout; //Flash讀出數據

//例化UFM(Flash)模塊

para_ufm para_ufm_inst (

.addr ( addr ),

.datain ( datain ),

.nerase ( nerase),

.nread ( nread ),

.nwrite ( nwrite),

.data_valid ( data_valid ),

.dataout ( dataout ),

.nbusy ( nbusy )

);

endmodule

但是在例化UFM模塊之前,大家需要先在MegaWizard Plug-In Manager里添加一個Flash模塊。步驟如下:

1,點擊菜單欄里的ToolsàMegaWizard Plug-In Manager。彈出如下,點擊next。

MAX.II的UFM模塊使用實例

2,接著選擇Memory Compiler下的Flash Memory,然后在What name do you want for the output file?下路徑的最后添加輸出文件名為para_ufm,點擊next.

MAX.II的UFM模塊使用實例

3,接下來一路Next,需要更改設置的地方如下(我也不多廢話,大家一看都明白):

MAX.II的UFM模塊使用實例

MAX.II的UFM模塊使用實例

完成上面步驟以后編譯工程,編寫testbench如下:

`timescale 1ns/1ns

module tb_ufmtest();

//inout

wire[15:0] databus; //Flash數據總線

//input

wire data_valid; //Flash數據輸出有效信號

wire nbusy; //Flash忙信號

//output

reg[8:0] addr; //Flash地址總線

reg nerase; //擦除Flash某一扇區信號

reg nread; //讀Flash信號

reg nwrite; //寫Flash信號

reg[15:0] databus_r; //測試模塊數據總線寄存器

reg[15:0] rdback_data; //測試模塊數據總線數據回讀寄存器

assign databus = nwrite ? 16’hzzzz:databus_r;

ufmtest ufmtest(

.databus(databus),

.addr(addr),

.nerase(nerase),

.nread(nread),

.nwrite(nwrite),

.data_valid(data_valid),

.nbusy(nbusy)

);

parameter DELAY_600US = 600_000, //600us延時

DELAY_2US = 2_000, //2us延時

DELAY_5US = 5_000; //5us延時

initial begin

nerase = 1;

nread = 1;

nwrite = 1;

addr = 0;

databus_r = 0;

#DELAY_600US; //0地址寫入數據99

databus_r = 99;

addr = 9‘d0;

nwrite = 0;

#DELAY_5US;

nwrite = 1;

@ (posedge nbusy);

#DELAY_5US; //0地址讀出數據,保存到寄存器rdback_data中

databus_r = 16’hff;

addr = 9‘d0;

nread = 0;

#DELAY_5US;

nread = 1;

@ (posedge data_valid);

rdback_data = databus;

#DELAY_600US;

$stop;

end

endmodule

仿真波形如下:

MAX.II的UFM模塊使用實例

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 模塊
    +關注

    關注

    7

    文章

    2783

    瀏覽量

    49708
收藏 人收藏

    評論

    相關推薦
    熱點推薦

    單片機項目實例:有人無線WIFI模塊資料

    單片機項目實例:有人無線WIFI模塊資料,推薦下載!
    發表于 06-09 22:32

    單片機實例項目:超聲波測量距離模塊資料

    單片機實例項目:超聲波測量距離模塊資料,推薦下載!
    發表于 06-09 22:25

    單片機項目實例分享:VS1053音頻解碼模塊

    單片機項目實例分享:VS1053音頻解碼模塊推薦下載!
    發表于 06-09 21:59

    單片機實例項目:MQ系列模塊資料

    單片機實例項目:MQ系列模塊資料,推薦下載!
    發表于 06-03 21:11

    用于四頻 GSM / GPRS / EDGE – 五頻(頻段 I、II、IV、V、VIII)WCDMA / HSPA / HSPA / LTE 的 SkyOne? 多頻多模前端模塊 skyworksinc

    前端模塊相關產品參數、數據手冊,更有用于四頻 GSM / GPRS / EDGE – 五頻(頻段 I、II、IV、V、VIII)WCDMA / HSPA / HSPA / LTE 的 SkyOne? 多
    發表于 05-29 18:35
    用于四頻 GSM / GPRS / EDGE – 五頻(頻段 I、<b class='flag-5'>II</b>、IV、V、VIII)WCDMA / HSPA / HSPA / LTE 的 SkyOne? 多頻多模前端<b class='flag-5'>模塊</b> skyworksinc

    用于四頻 GSM / GPRS / EDGE – 五頻(頻段 I、II、III、V、VIII)WCDMA / HSPA / HSPA / LTE 的 SkyOne? 多頻多模前端模塊 skyworksinc

    前端模塊相關產品參數、數據手冊,更有用于四頻 GSM / GPRS / EDGE – 五頻(頻段 I、II、III、V、VIII)WCDMA / HSPA / HSPA / LTE 的 SkyOne
    發表于 05-29 18:34
    用于四頻 GSM / GPRS / EDGE – 五頻(頻段 I、<b class='flag-5'>II</b>、III、V、VIII)WCDMA / HSPA / HSPA / LTE 的 SkyOne? 多頻多模前端<b class='flag-5'>模塊</b> skyworksinc

    用于四頻 GSM / GPRS / EDGE 的 SkyOne? 多模多頻前端模塊 – 六頻(頻段 I、II、III、V、VIII、XX)WCDMA / HSPA / HSPA / LTE skyworksinc

    電子發燒友網為你提供()用于四頻 GSM / GPRS / EDGE 的 SkyOne? 多模多頻前端模塊 – 六頻(頻段 I、II、III、V、VIII、XX)WCDMA / HSPA
    發表于 05-29 18:33
    用于四頻 GSM / GPRS / EDGE 的 SkyOne? 多模多頻前端<b class='flag-5'>模塊</b> – 六頻(頻段 I、<b class='flag-5'>II</b>、III、V、VIII、XX)WCDMA / HSPA / HSPA / LTE skyworksinc

    verilog模塊的調用、任務和函數

    在做模塊劃分時,通常會出現這種情形,某個大的模塊中包含了一個或多個功能子模塊,verilog是通過模塊調用或稱為模塊
    的頭像 發表于 05-03 10:29 ?515次閱讀
    verilog<b class='flag-5'>模塊</b>的調用、任務和函數

    請問LX2160A的最大DPDMUX實例支持是多少?

    在 LX2160A 中最多可以創建多少個 DPDMUX 實例? 我嘗試創建 8 個 dpdmux 對象,但只成功了 6 個。 我用于創建 dpdmux 的參數是 #--default-if=1
    發表于 03-24 07:54

    LaserCam HR II“圖像重影”

    ?LaserCam HR II相機介紹?: 新型CCD相機:LaserCam HR II采用了新型CCD技術。 性能改進:相比前任CMOS相機,降低了整體噪聲,增加了傳感器尺寸,并解決了CMOS相機
    的頭像 發表于 03-12 06:21 ?285次閱讀
    LaserCam HR <b class='flag-5'>II</b>“圖像重影”

    龍杰智能卡發布WalletMate II Mini:微型手機錢包NFC讀寫器模塊

    龍杰智能卡有限公司(ACS)近日宣布推出其備受期待的WalletMate II系列的首款產品——WalletMate II Mini微型手機錢包NFC讀寫器模塊。該模塊的推出,旨在進一
    的頭像 發表于 02-06 09:20 ?585次閱讀

    BOOSTXL-EDUMKII教育BoosterPack插件模塊Mark II用戶指南

    電子發燒友網站提供《BOOSTXL-EDUMKII教育BoosterPack插件模塊Mark II用戶指南.pdf》資料免費下載
    發表于 12-05 14:39 ?0次下載
    BOOSTXL-EDUMKII教育BoosterPack插件<b class='flag-5'>模塊</b>Mark <b class='flag-5'>II</b>用戶指南

    LORA模塊在農業中的應用實例

    隨著物聯網技術的發展,LORA(Long Range,長距離無線通信技術)模塊因其低功耗、遠距離傳輸等優勢,在農業領域得到了廣泛應用。 1. 智能灌溉系統 應用背景: 農業灌溉是農業生產中的重要環節
    的頭像 發表于 11-01 09:25 ?1897次閱讀

    廣成科技USBCAN-II C+型CAN盒是什么

    USBCAN-II C+是沈陽廣成科技有限公司出品的一種集成2個CAN通道的CAN盒工具,你也可以叫它CAN卡、CAN分析儀、USBCAN分析儀。相對于前身USBCAN-II C分析儀,USBCAN-II C+的外殼由金屬轉變為
    的頭像 發表于 08-30 11:47 ?1365次閱讀

    廣成科技USBCAN II C+可用的GCANtools功能

    USBCAN II C+是沈陽廣成科技有限公司出品的一種雙通道CAN分析儀工具,其搭配的軟件主要廣成科技自己家的GCANTOOLS以及其他廠家的CANPro、CANTEST。相比于USBCAN II
    的頭像 發表于 08-30 11:45 ?1083次閱讀